*   >> Lectura Educación Artículos >> science >> programación

Ejemplos de Shifters Codes

Electrónica y Comunicación partes con función

Estos programas son ejemplos de buenas y no hay error, y programa que se ejecuta con una correcta y tienen salidas fiables.

--- shifter-izquierda ---

biblioteca IEEE;

utilizar IEEE.STD_LOGIC_1164.ALL;

Utilice IEEE.STD_LOGIC_ARITH.ALL;

utilizan IEEE. STD_LOGIC_UNSIGNED.

ALL;

entidad shiftleft_8 es

Port (A, B: en STD_LOGIC_VECTOR (7 downto 0);

outleft: Fuera STD_LOGIC_VECTOR (7 downto 0);

zeroact: Fuera std_logic);

shiftleft_8 fin;

arquitectura estructural de shiftleft_8 es

signa6 señal, signa7, Zact: STD_LOGIC_VECTOR (7 downto 0) ;

shifter_stage1 componente es

Portuarias (in25: en STD_LOGIC_VECTOR (7 downto 0);

stage1: en std_logic;

out10: Fuera STD_LOGIC_VECTOR ( 7 downto 0));

componente final;

shifters_stage2 componente es

Portuarias (in27: en STD_LOGIC_VECTOR (7 downto 0);

stage2: en std_logic;

out12: Fuera STD_LOGIC_VECTOR (7 downto 0));

componente final;

shifters_stage4 componente es

Puerto (in29: en STD_LOGIC_VECTOR (7 downto 0);

stage4: en std_logic;

out14: Fuera STD_LOGIC_VECTOR (7 downto 0));

componente final;

comienzan

shiftleft0: Mapa puerto shifter_stage1 (A, B

(0), signa6);

shiftleft1: Mapa puerto shifters_stage2 (signa6, B

(1), signa7);

shiftleft2: shifters_stage4 mapa de puerto (signa7, B

(2), Zact);

outleft

zeroact

cuando Zact = "00000000"

else '0';

acabar estructural;

--- palanca derecha ---

biblioteca IEEE;

Utilice IEEE.

STD_LOGIC_1164.ALL;

uso IEEE.STD_LOGIC_ARITH.ALL;

utilizar IEEE.STD_LOGIC_UNSIGNED.ALL;

entidad shiftright_8 es

Port (D, E: en STD_LOGIC_VECTOR (7 downto 0);

rotundamente: Fuera STD_LOGIC_VECTOR (7 downto 0);

zeroact: std_logic fuera);

shiftright_8 fin;

arquitectura estructural de shiftright_8 es

< p> signa15 señal, signa16, Zact: STD_LOGIC_VECTOR (7 downto 0);

shiftersright_stage1 componente es

Portuarias (IN31: en STD_LOGIC_VECTOR (7 downto 0);

stage1right : en std_logic;

OUT16: Fuera STD_LOGIC_VECTOR (7 downto 0));

componente final;

shiftersright_stage2 componente es

Puerto (in33: en STD_L

Page   <<       [1] [2] >>
Copyright © 2008 - 2016 Lectura Educación Artículos,https://lectura.nmjjxx.com All rights reserved.